FOREX Press I. J. of Electrical & Electronics Research
Support Open Access

Research Article |

Trade-off for Leakage Power Reduction in Deep Sub Micron SRAM Design

Author(s): Tripti Tripathi*, Dr. D. S. Chauhan and Dr. S. K. Singh

Publisher : FOREX Publication

Published : 30 December 2016

e-ISSN : 2347-470X

Page(s) : 110-117




Tripti Tripathi*, Department of ECE Inderprastha Engineering College Uttar Pradesh, India; Email: tripti.tripathi@ipec.org.in

Dr. D. S. Chauhan, GLA University Mathura (U.P.), India; Email: pdschauhan@gmail.com

Dr. S. K. Singh, Department of Electronics and Communication VIET, Uttar Pradesh, India; Email: sanjaysinghraj@rediffmail.com

    [1] Andrea Calimera et al., ‘Design techniques and architectures for low leakage SRAMs’, IEEE transactions on circuits and systems, vol. 59, No.9, pp. 1992- 2007,Sept. 2012.
    [2] ‘International technical roadmap for semiconductors’, 2009 available online at http:// www.itrs.net/links/ 2009ITRS/home2009.htm
    [3] S. K. Singh et al., ‘Analysis of DRV trade-off in deep submicron SRAM for low power’, WASJ, pp. 56-62, 2014.
    [4] Eitan N. Shauly, “CMOS leakage and power reduction in transistors and circuits: Process and layout considerations,” Journal of low power electronics and applications, pp. 1-29, Jan2012.
    [5] Li-Jun Zhang et al., ‘Leakage power reduction techniques of 55nm SRAM cells’, IETE Technical Review, Vol. 22, issue 2, pp. 135-145, 2001.
    [6] K. Koh et al. ‘Highly manufacturable 100nm 6T low power SRAM with single poly-Si gate technology’, International Symposium on VLSI Technology, Systems, and Applications, pp. 64-67, Oct. 2003.
    [7] S. Zhao et al., ‘Transistor optimization for leakage power management in a 65nm CMOS technology for wireless and mobile applications’, International Symposium on VLSI Technology Digest of Technical Papers. pp. 14-15, Jun. 2004.
    [8] A. Steegan et al., ‘65nm CMOS technology for low power applications’, IEEE International Electron Devices Meeting, Washington DC, pp. 64-67, Dec. 2005.
    [9] Z. Guo et al., ‘FINFET based SRAM design’, Proceedings of 2005 International Symposium on Low Power Electronics and Design, pp. 2-7, Aug. 2005.
    [10] C. H. Kim et al., ‘PVT-aware leakage reduction for on- die caches with improved read stability’, IEEE Journal of Solid-State Circuits, vol. 41, pp. 170-178, Jan. 2006.
    [11] K. Flaunter et al., ‘ Drowsy caches: Simple techniques for reducing leakage power’, Proceedings 29th Annual International Symposium on Computer Architecture, Anchorage, AK, pp. 148-157, May 2002.
    [12] C.H. Kim et al., ‘Dynamic Vt SRAM: A leakage tolerant cache memory for low voltage microprocessors’, Low Power Electronics and Design, pp. 251-4, 2002.
    [13] C.H. Kim et al., ‘A forward body biased low-leakage SRAM cache: device circuit and architecture considerations’, IEEE Transactions on VLSI Systems, vol. 13, pp. 349-357, Mar. 2005.
    [14] A. Agarwal et al., ‘Leakage power analysis and reduction for nanoscale circuits’, Micro IEEE, vol. 26, pp. 68-80, 2006.
    [15] A. Agarwal et al., ‘A single-Vt low-leakage gated- ground cache for deep submicron’, IEEE Journal of Solid-State Circuits, vol. 38, pp. 319-328, Feb. 2003.
    [16] G. Fukano et al., ‘A 65nm 1Mb SRAM macro with dynamic voltage scaling in dual power supply scheme for low power SoCs’, International Conference on Memory Technology and Design, Opio, pp. 18-22, May 2008.
    [17] S.M. Martin et al., ‘Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads’, EE/ACM International Conference on Computer Aided Design, pp. 721-725, Nov. 2002.
    [18] M. D. Singh et al., ‘Leakage power reduction techniques of 45nm static random access memory (SRAM) cells’, International journal of physical sciences, vol. 6(32), pp.7341-7353, December 2011.
    [19] K. Kanda et al., ‘Two orders of magnitude reduction of low voltage SRAM’s by row by-row dynamic VDD control (RDDV) scheme,’ Proceedings of IEEE International ASIC/SOC Conference, NY, USA, pp. 381-385, Sep. 2002.
    [20] K.S. Min et al., ‘Row-by-Row dynamic source line voltage control (RRDSV) scheme for two orders of magnitude leakage current reduction of sub 1V VDD SRAM’s’, IEICE Trans. Electron, vol. E88-C, No. 4, pp. 760-767, April 2005.
    [21] S. Heo et al., ‘Dynamic fine-grain leakage reduction using leakage-biased bitlines’, Proceedings of the 29th Annual International Symposium on Computer Architecture, Anchorage, AK, USA, pp. 137-147, 2002.
    [22] K. Nii et al., ‘A low power SRAM using auto-backgate- controlled MT-CMOS’, International symposium on low power electronics and design, USA, pp. 293-298, Aug. 1998.
    [23] B. Amelifrad et al., ‘Low leakage SRAM design with dual VT transistors’, Proceedings of the 7th International Symposium on Quality Electronic Design, pp.729-734, 2006.
    [24] B. Amelifard et al., ‘Leakage minimization of SRAM cells in a dual-Vt and dual-Tox technology’, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.16, pp. 851-859, July 2008.
    [25] G. Razavipour et al., ‘Design and Analysis of Two Low-Power SRAM Cell Structures’, IEEE Transaction on Very Large Scale Integration (VLSI) Systems, Vol. 17, No. 10, pp. 1551-1555, Oct. 2009.
    [26] S. P. Vanigalla et al., ‘To reduce SRAM subthreshold leakage using stack and zig-zag techniques’, International Journal of Scientific Engineering and Technology, vol1, issue no.2, pp. 51-54, April 2012.
    [27] S. Rathore, ‘Low Leakage Power SRAM Design Using Lector Technique in Various CMOS Technology’, International Journal of Advanced Research in Computer Science and Software Engineering, vol.4, issue 6, pp.279-284, June 2014.
    [28] S. Shigematsu et al., ‘A 1-V High-Speed MTCMOS Circuit Scheme for Power-Down Application Circuits’, IEEE Journal of Solid-State Circuits, Vol. 32, No. 6, June 1997.
    [29] N. K. Shukla et al., ‘A Novel Approach to Reduce the Gate and Sub-threshold Leakage in a Conventiona SRAM Bit-Cell Structure at Deep-Sub Micron CMOS Technology’, International Journal of Computer Applications (IJCA), Vol. 23, No. 7, pp. 23-28, 2011.
    [30] N. K. Shukla et al., ‘Speed and Leakage Power Trade- off in Various SRAM Circuits’, International Journal of Computer and Electrical Engineering (IJCEE), Singapore, Vol.3, No.2, pp. 244-249, Apr. 2011.
    [31] K. Roy and S. C. Prasad, Low-Power CMOS VLSI Circuit Design. New York: Wiley, 2000, ch.5, pp. 214– 219.
    [32] N. Azizi et al., ‘An asymmetric SRAM cell to lower gate leakage’, proceedings of International Symposium on Quality Electronic Design, pp.534-539, March 2004.
    [33] T. Song et. al., “14nm FinFET 128 Mb SRAM with Vmin Enhancement Techniques for Low-Power Applications,” IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 50, NO. 1, pp 158-169, JANUARY 2015.
    [34] H. Jeong et. al., “Offset-Compensated Cross-Coupled PFET Bit-Line Conditioning and Selective Negative Bit-Line Write Assist for High-Density Low-Power SRAM,” IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 62, NO. 4, pp. 1062-1070, APRIL 2015.
    [35] J. Park et. al., “Design of a 22-nm FinFET-Based SRAM With Read Buffer for Near-Threshold Voltage Operation” IEEE transactions on electron devices, vol. 62, no. 6, pp. 1698-1704, JUNE 2015.
    [36] S. Muhammad et. al., “Eight-FinFET Fully Differential SRAM Cell with Enhanced Read and Write Voltage Margins,” IEEE transactions on electron devices, vol. 62, no. 6, pp-2014-2021, june 2015.
    [37] J. S. Shah et. al., “A 32 kb Macro with 8T Soft Error Robust, SRAM Cell in 65-nm CMOS,” ieee transactions on nuclear science, vol. 62, no. 3, june 2015.
    [38] S.K. Singh et. al., “Deep sub-micron SRAM design for DRV analysis and low leakage,” International Journal of advances in engineering and technology, vol.1, issue 5, pp- 429-436, November 2011.

Tripti Tripathi, Dr. D. S. Chauhan and Dr. S. K. Singh (2016), Trade-off for Leakage Power Reduction in Deep Sub Micron SRAM Design. IJEER 4(4), 110-117. DOI: 10.37391/IJEER.040401.