FOREX Press I. J. of Electrical & Electronics Research
Support Open Access

Research Article |

Design and Leakage Power Optimization of 6T Static Random Access Memory Cell Using Cadence Virtuoso

Author(s) : Sufia Banu1 and Shweta Gupta2

Publisher : FOREX Publication

Published : 30 June 2022

e-ISSN : 2347-470X

Page(s) : 341-346




Sufia Banu, Research Scholar, Department of ECE, Jain University, Bangalore, India; Email: sufiabanu.ec@gmail.com

Shweta Gupta, Associate Professor, Department of ECE, Jain University, Bangalore, India; Email: shwetagupta832000@gmail.com

[1] H. Faith, Z. Kevin, W. Yith, J. Hong, B. Uddalak, Zhanping , G. Yong, P. Andrei, S. Ken and B. Mark “A 3.8 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology,” IEEE Journal of Solid-State Circuits, Vol.44, No. 1, January 2009.[Cross Ref]

[2] S. Banu and S. Gupta, "The Sub-Threshold Leakage Reduction Techniques in CMOS Circuits," 2020 International Conference on Smart Technologies in Computing, Electrical and Electronics (ICSTCEE), 2020, pp. 223-228, doi: 10.1109/ICSTCEE49637.2020.9277192.[Cross Ref]

[3] G. Razavipour, A. Afzali-Kusha, and M. Pedram, “Design and analysis of two low-power SRAM cell structures”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, pp. 1551- 5, Oct. 2009.[Cross Ref]

[4] B. Amelifard, F. Fallah, and M. Pedram, “Leakage minimization of SRAM cells in a dual-Vt and dual-Tox technology”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.16, pp. 851-9, Jul. 2008.[Cross Ref]

[5] K. Roy, S. Mukhopadhyay, and H. Mahmoodi-meimand, “Leakage current mechanisms and leakage reduction techniques in deep submicrometer CMOS circuits”, Proceedings of the IEEE, vol. 91, pp. 305-327, Feb. 2003.[Cross Ref]

[6] B. Amelifard, F. Fallah, and M. Pedram, “Leakage minimization of SRAM cells in a dual-Vt and dual-Tox technology”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, pp. 851-9, Jul. 2008.[Cross Ref]

[7] U. Satheeshwaran, N. Sreekanth,”X-RAY CT Reconstruction by using Spatially Non Homogeneous ICD Optimization”, International Journal of Engineering and Advanced Technology (IJEAT) ISSN: 2249 – 8958, Volume-8, Issue-6S3, September 2019 [Cross Ref]

[8] A. Steegen, R. Mo, R. Mann, M.C. Sun, M. Eller, G. Leake, D. Vietzke, et al., “65nm CMOS technology for low power applications”, in IEEE International Electron Devices Meeting, Washington, DC, pp. 64-7, Dec. 2005. [Cross Ref]

[9] K. Koh, B.J. Hwang, K.H. Kwak, Y.S. Son, J.Y. Lee, J.H. Jang, et al. “Highly manufacturable 100nm 6T low power SRAM with single poly-Si gate technology”, in International Symposium on VLSI Technology, Systems, and Applications, pp. 64-7, Oct. 2003.[Cross Ref]

[10] S. Zhao, A. Chatterjee, S. Tang, J. Yoon, S. Crauk, H. Bu, et al. “Transistor optimization for leakage power management in a 65nm CMOS technology for wireless and mobile applications”, in Symposium on VLSI Technology Digest of Technical Papers. pp. 14-5, Jun. 2004.[Cross Ref]

[11] S. P. Anandaraj, N. Kirubakaran, S. Ramesh,”Efficient Way to Detect Bone Cancer using Image Segmentation”,International Journal of Engineering and Advanced Technology (IJEAT) ISSN: 2249 – 8958, Volume-8, Issue-6S3, September 2019[Cross Ref]

[12] K. Flautner, N.S. Kim, S. Martin, D. Blaauw, and T. Mudge, “Drowsy caches: Simple techniques for reducing leakage power”, in Proceedings 29th Annual International Symposium on Computer Architecture, Anchorage, AK, pp. 148-57, May. 2002.[Cross Ref]

[13] P. Kalyani, Dr. M. Madhavi and Dr. P. Chandra, “Analysis of MOS transistor behavior with Forward and Reverse Body biasing in Subthreshold region”, in International journal of Applied Engineering Research, ISSN 0973-4562, V-13, PP-14236-14240, 2018.[Cross Ref]

[14] N. Pandit, A. Dhananjaya, “Optimization of Delay and Leakage using Body Bias”, International Journal of Engineering & Technology (IJERT), ISSN-2278-0181, V-2, June-2013.[Cross Ref]

[15] W. Chen, Z. Li-Jun, W. Yong, Z. Jian-Bin, “SRAM Power Optimization with a Novel Circuit and Architectural Level Technique”, IEEE transaction, 2010.[Cross Ref]

[16] F. Assaderaghi, D. Sinitsky, S. Parke, J. Bokor, P. K. Ko, and C.Hu, “A dynamic threshold voltage MOSFET (DTMOS) for ultra-low voltage operation,” Dig. Tech. Papers IEEE Int. Electron Devices Meeting, pp. 809–812, 1994.[Cross Ref]

[17] S.Vasantha swaminathan,”Design and Implementation of Kogge Stone adder using CMOS and GDI Design: VLSI Based”, International Journal of Engineering and Advanced Technology (IJEAT) ISSN: 2249 – 8958, Volume-8 Issue-6S3, September 2019[Cross Ref]

[18] K. Pratiksha, M. Punithra, H. Nalina, M. Preethana, K. Kumari, “Low Power 6T SRAM Design using 45nm Technology”, IJERT, ISSN-2278-0181, NCCDS-2020.[Cross Ref]

[19] T. Tuan, Y. Jeremy, Y. Joshua, K. Zhi-Hui, T. Xiaoliang, and Y. Kiat-Seng,”An 8T Differential SRAM with Improved Noise Margin for Bit-Interleaving in 65 nm CMOS” IEEE Transnctions on circuits and systems, Vol. 58, No. 6, June 2011.[Cross Ref]

[20] Yogesh Kulshethra, Manish Kule (2019), Design of Low Leakage Arithmetic Logic circuit Using Efficient Power Gating Schemes. IJEER 7(3), 11-18. DOI: 10.73791/IJEER.070301 http://ijeer.forexjournal.co.in/archive/volume-7/ijeer-070301.php[Cross Ref]

[21] Tripti Tripathi, Dr. D. S. Chauhan, Dr. S. K. Singh (2016), Trade-off for Leakage Power Reduction in Deep Sub Micron SRAM Design. IJEER 4(4), 110-117. DOI: 10.37391/ijeer.040401. http://ijeer.forexjournal.co.in/archive/volume-4/ijeer-040401.php[Cross Ref]

[22] Pankaj Prajapati, Dr. Shyam Akashe (2016), Effect of changes in supply voltage on power consumption of digital CMOS delay lines. IJEER 4(4), 118-121. DOI: 10.37391/ijeer.040402. http://ijeer.forexjournal.co.in/archive/volume-4/ijeer-040402.php[Cross Ref]

Sufia Banu and Shweta Gupta (2022), Design and Leakage Power Optimization of 6T Static Random Access Memory Cell Using Cadence Virtuoso. IJEER 10(2), 341-346. DOI: 10.37391/IJEER.100246.