FOREX Press I. J. of Electrical & Electronics Research
Support Open Access

Survey Article |

A Comparative Analysis of FinFET Based SRAM Design

Author(s): Vijayalaxmi Kumbar1 and Manisha Waje2

Publisher : FOREX Publication

Published : 25 December 2022

e-ISSN : 2347-470X

Page(s) : 1191-1198




Vijayalaxmi Kumbar*, Department of Electronics & Telecommunication Engineering, G H Raisoni College of Engineering & Management, Pune, India; Email: vijaylakshmikumbar@gmail.com

Manisha Waje, Department of Electronics & Telecommunication Engineering, G H Raisoni College of Engineering & Management, Pune, India; Email: waje.manisha@gmail.com

    [1] Banu, S., & Gupta, S. Design and Leakage Power Optimization of 6T Static Random Access Memory Cell Using Cadence Virtuoso. IJEER, 10(2), (2022) 341-346.[Cross Ref]
    [2] Agarwal S., and Chandel R., Energy Efficient SRAM Design Using FinFETs and Potential Alteration Topology Schemes. In: Mishra B., Mathew J., Patra P. (eds) Artificial Intelligence Driven Circuits and Systems. Lecture Notes in Electrical Engineering, 811(2022) 65-75.[Cross Ref]
    [3] Abbasian, Erfan, Elangovan Mani, Morteza Gholipour, Mehrzad Karamimanesh, Mohd Sahid, and Adil Zaidi. A Schmitt-Trigger-Based Low-Voltage 11 T SRAM Cell for Low-Leakage in 7-nm FinFET Technology, Circuits, Systems, and Signal Processing (2022) 1-25.[Cross Ref]
    [4] G. Ravikishore. and N. M. Nandhitha, 6T-SRAM Design to Optimize Delay Using Finfet Technology, 2021 Third International Conference on Intelligent Communication Technologies and Virtual Mobile Networks (ICICV), (2021) 540-544. [Cross Ref]
    [5] Raushan, Rajesh Kumar, Mohammad Rashid Ansari, Usha Chauhan, Muhammad Khalid, and Baibaswata Mohapatra. Implementation of 12T and 14T SRAM bitcell using FinFET with optimized parameters, Transactions on Electrical and Electronic Materials 22(3) (2021) 328-334. [Cross Ref]
    [6] Maurya, Ravindra Kumar, and Brinda Bhowmick. Review of FinFET Devices and Perspective on Circuit Design Challenges, Silicon (2021) 1-9.[Cross Ref]
    [7] Raushan, Rajesh Kumar, Mohammad Rashid Ansari, and Usha Chauhan. An assessment of SRAM using MOSFET and FinFET technology in VLSI, Smart Computing (2021) 708-714.[Cross Ref]
    [8] Kumar, Harekrishna, and V. K. Tomar, A review on performance evaluation of different low power SRAM cells in the nano-scale era, Wireless Personal Communications 117(3) (2021) 1959-1984.[Cross Ref]
    [9] M. A. Turi and J. G. Delgado-Frias, Effective Low Leakage 6T and 8T FinFET SRAMs: Using Cells With Reverse-Biased FinFETs, Near-Threshold Operation, and Power Gating, in IEEE Transactions on Circuits and Systems II: Express Briefs, 67(4) (2020) 765-769. [Cross Ref]
    [10] Rahebeh Niaraki Asli, Shiva Taghipour, Reliable and high-performance asymmetric FinFET SRAM cell using back-gate control, Microelectronics Reliability, 104 (2020) 113545.[Cross Ref]
    [11] Mushtaq, Umayia, and Vijay Kumar Sharma. Design and analysis of INDEP FinFET SRAM cell at 7‐nm technology, International Journal of Numerical Modelling: Electronic Networks, Devices, and Fields, 33(5) (2020) 2730.[Cross Ref]
    [12] Duari, Chusen, Shilpi Birla, and Amit Kumar Singh. A Dual Port 8T SRAM Cell Using FinFET & CMOS Logic for Leakage Reduction and Enhanced Read & Write Stability, Journal of Integrated Circuits and Systems 15(2) (2020) 1-7.[Cross Ref]
    [13] Birla, Shilpi, Neeraj K. Shukla, Neha Singh, and Ram Kumar Raja. Performance Analysis of 8T FinFET SRAM Bit-Cell for Low-power Applications, In 2020 5th International Conference on Computing, Communication and Security, (2020) 1-4.[Cross Ref]
    [14] Vallabhuni, Rajeev Ratna, K. C. Koteswaramma, and B. Sadgurbabu. Comparative validation of SRAM cells designed using 18nm FinFET for memory storing applications, In Proceedings of the 2nd International Conference on IoT, Social, Mobile, Analytics & Cloud in Computational Vision & Bio-Engineering (2020).[Cross Ref]
    [15] L. Mari (2020 October 30). A Comparison of FinFET Configurations - Technical Articles [Online]. Available: https://eepower.com/technical-articles/a-comparison-of-finfet-configurations/#.[Cross Ref]
    [16] R. R. Vallabhuni, P. Shruthi, G. Kavya and S. Siri Chandana, 6Transistor SRAM Cell designed using 18nm FinFET Technology, 3rd International Conference on Intelligent Sustainable Systems (ICISS) (2020) 1584-1589.[Cross Ref]
    [17] Verma, Jyoti, Abhiruchi Passi, Savita Sindhu, and S. Gayathiri. Design 10-Transistor (10t) SRAM using Finfet technology, Int. J. Eng. Adv. Technol, 9(1) (2019) 566-572.[Cross Ref]
    [18] Sina Sayyah Ensan, Mohammad Hossein Moaiyeri, Majid Moghaddam, Shaahin Hessabi, A low-power single-ended SRAM in FinFET technology, AEU - International Journal of Electronics and Communications, 99 (2019) 361-368. [Cross Ref]
    [19] A. A. Kumar and A. Chalil, "Performance Analysis of 6T SRAM Cell on Planar and FinFET Technology, 2019 International Conference on Communication and Signal Processing (ICCSP) (2019) 0375-0379. [Cross Ref]
    [20] Ensan, Sina Sayyah, Mohammad Hossein Moaiyeri, and Shaahin Hessabi. A robust and low-power near-threshold SRAM in 10-nm FinFET technology, Analog Integrated Circuits and Signal Processing, 94(3) (2018) 497-506.[Cross Ref]
    [21] Sujata, A. A., and Dr Lalitha. Survey on latest FinFET Technology and Its Challenges in Digital Circuits and ICs. International Journal of Electronics Engineering 10(2) (2018) 577-585.[Cross Ref]
    [22] Saxena, Shilpa, and Rajesh Mehra, Low-power and high-speed 13T SRAM cell using FinFETs, IET Circuits, Devices & Systems 11(3) (2017) 250-255.[Cross Ref]
    [23] S. S.R., B. R. S., Samiksha, R. Banu and P. Shubham, Design and Performance Analysis of 6T SRAM Cell in 22nm CMOS and FINFET Technology Nodes," 2017 International Conference on Recent Advances in Electronics and Communication Technology (ICRAECT), (2017) 38-42.[Cross Ref]
    [24] J. Chang et al., 12.1 A 7nm 256Mb SRAM in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications, IEEE International Solid-State Circuits Conference (ISSCC), ( 2017) 206-207.[Cross Ref]
    [25] Darwich, Mahmoud, Ahmed Abdelgawad, and Magdy Bayoumi. A Survey on the power and robustness of FinFET SRAM, In 2016 IEEE 59th International Midwest Symposium on Circuits and Systems (MWSCAS), (2016), 1-4. [Cross Ref]
    [26] N. Kaur, N. Gupta, H. Pahuja, B. Singh and S. Panday, Low Power FinFET based 10T SRAM cell, Second International Innovative Applications of Computational Intelligence on Power, Energy, and Controls with their Impact on Humanity (CIPECH), (2016) 227-233. [Cross Ref]
    [27] Verma, Deepali, Shyam Babu, and Shyam Akashe. Comparison of conventional 6T SRAM cell and FinFET based 6T SRAM cell parameters at 45nm technology, International journal of advanced computer research 5(21) (2015) 367.[Cross Ref]
    [28] Dani, Lalit Mohan, Gurmohan Singh, and Manjit Kaur, FinFET based 6T SRAM cell for nanoscaled technologies, International Journal of Computer Applications 127(13) (2015) 5-10.[Cross Ref]
    [29] Chang, Meng-Chou, Kai-Lun He, and Yu-Chieh Wang. Design of asymmetric TCAM (ternary content-addressable memory) cells using FinFET. In 2014 IEEE 3rd Global Conference on Consumer Electronics (GCCE), (2014) 358-359. [Cross Ref]
    [30] Bayoumi, Magdy, and Anandi Dutta. FinFET based SRAM design: A survey on device, circuit, and technology issues, in 21st IEEE International Conference on Electronics, Circuits, and Systems (ICECS) (2014) 387-390.[Cross Ref]
    [31] Bhattacharya, Debajit, and Niraj K. Jha. FinFETs: from devices to architectures, Advances in Electronics (2014).[Cross Ref]
    [32] A. B. Sachid and C. Hu, Denser and More Stable SRAM Using FinFETs With Multiple Fin Heights, in IEEE Transactions on Electron Devices 59(8) (2012) 2037-2041. [Cross Ref]
    [33] Young Bok Kim, Yong-Bin Kim, and F. Lombardi, "Low power 8T SRAM using 32nm independent gate FinFET technology," 2008 IEEE International SOC Conference, 2008, pp. 247-250, doi: 10.1109/SOCC.2008.4641521.[Cross Ref]
    [34] A. Bansal, S. Mukhopadhyay, and K. Roy, Device-Optimization Technique for Robust and Low-Power FinFET SRAM Design in NanoScale Era, in IEEE Transactions on Electron Devices, 54(6) (2007) 1409-1419. [Cross Ref]
    [35] Krivokapic, Zoran, Judy Xilin An, and Matthew S. Buynoski. FinFET-based SRAM cell, U.S. Patent 6,765,303, (2004).[Cross Ref]
    [36] Girish, H., and D. R. Shashikumar. PAOD: a predictive approach for optimization of design in FinFET/SRAM. International Journal of Electrical and Computer Engineering, 9(2) 9, 2019:960.[Cross Ref]
    [37] Huo, Qiang, Zhenhua Wu, Xingsheng Wang, Weixing Huang, Jiaxin Yao, Jianhui Bu, Feng Zhang, Ling Li, and Ming Liu. Physics-based device-circuit cooptimization scheme for 7-nm technology node SRAM design and beyond. IEEE Transactions on Electron Devices. 67(3), (2020) 907-914.[Cross Ref]
    [38] Ensan, S. S., Moaiyeri, M. H., Moghaddam, M., & Hessabi, S. A low-power single-ended SRAM in FinFET technology. AEU-International Journal of Electronics and Communications, 99, (2018) 361-368. [Cross Ref]
    [39] Guler, A., & Jha, N. K. Three-dimensional monolithic FinFET-based 8T SRAM cell design for enhanced read time and low leakage. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27(4), (2019) 899-912.[Cross Ref]
    [40] Carlson, A., Guo, Z., Balasubramanian, S., Zlatanovici, R., Liu, T. J. K., & Nikolic, B. SRAM read/write margin enhancements using FinFETs. IEEE transactions on very large scale integration (VLSI) systems, 18(6), (2009). 887-900.[Cross Ref]
    [41] Asenov, A., Cheng, B., Wang, X., Brown, A. R., Millar, C., Alexander, C., ... & Nassif, S. R. Variability aware simulation based design-technology cooptimization (DTCO) flow in 14 nm FinFET/SRAM cooptimization. IEEE Transactions on Electron Devices, 62(6), (2014). 1682-1690.[Cross Ref]
    [42] Praveen, P., & Singh, R. K. (2022). Performance Analysis of 9T SRAM using 180nm, 90nm, 65nm, 32nm, 14nm CMOS Technologies. IJEER, 10(2), 381-386.[Cross Ref]
    [43] Saxena, C. Leakage Current Analysis of FinFET Based 7T SRAM at 32nm Technology. IJEER, 3(2), (2015) 31-34.[Cross Ref]
    [44] Dharmireddy, A. K., Ijjada, S. R., & Latha, I. H. Performance Analysis of Various Fin Patterns of Hybrid Tunnel FET. IJEER, 10(4), (2022) 806-810. [Cross Ref]
    [45] Kumbar, V., & Raut, V. Design and Technology Co-optimization for Investigating Power, Performance, Area and Cost Trade-Offs in FinFET Technologies. In ICCCE, ((2022) 623-628.[Cross Ref]

Vijayalaxmi Kumbar and Manisha Waje (2022), A Comparative Analysis of FinFET Based SRAM Design. IJEER 10(4), 1191-1198. DOI: 10.37391/IJEER.100468.